ВНИМАНИЕ! Наша конференция посвящена космической тематике и компьютерным играм. Политические вопросы и происходящие в мире события в данный момент на нашем сайте не обсуждаются!
|
» JG в 2016 (и все-таки он вертится) | страница 11 |
|
|
|
Онлайновые игры »
Канал Jumpgate: «JG в 2016 (и все-таки он вертится)» |
|
|
SandreS
259 EGP
  Рейтинг канала: 5(150) Репутация: 40 Сообщения: 595 Откуда: Планета Таргон. Зарегистрирован: 25.07.2001
 |
|
Hi многоуважаемый All!
А мы это...
Того-самого...
Истинные арийцы. У нас характер стойкий-нордический. И в НЕпорочащих связях не замечены. :-)))
Марафет с бухарой не мешаем.
Томко выпить не с кем стало. Все отказываются. А кто не отказывается, с теми сам пить не буду.
Усе истинные алкоголики-тунеядцы на этот марафет перешли.
Мы с Моим Величеством одни стойкие остались. На провокации вражин не поддаемси. :-)))))))))))))))))
_________________ --- ELITE raiders GROUP ---
JG Ragaril |
|
|
_RAZAAR_
62 EGP
  Рейтинг канала: 6(388) Репутация: -13 Сообщения: 2854 Заблокирован Откуда: РАЗААРЪ - 40Лы от Лаве Зарегистрирован: 15.04.2008
 |
|
SandreS : |
(зачем писать свое, когда все давно уже сделано, только бери и используй?!)
|
А чего это тебя так вовремя времяисчисления из окресностей созвездия треугольник покоробило?
Уж больше 15 лет так время там считають и всё это время постоянно в лучших традициях расстраивало все эвенты, в особенности для тех кто с планеты таргон и нетолько
_________________ Quaere Vērum
------------------------ |
|
|
SandreS
259 EGP
  Рейтинг канала: 5(150) Репутация: 40 Сообщения: 595 Откуда: Планета Таргон. Зарегистрирован: 25.07.2001
 |
|
Hi многоуважаемый All!
А пес его знает, что это мы про него заговорили. Не до него было как-то. По крайней мере до сих пор мне было на время начихать. А тут решил воспользоваться, и здрасте-приехали. Плевался дальше чем видел.
Нет, по профессиональной стязе, я прекрасно знаю, что с этим делом всегда сложности большие были, есть и будут. Сам тут написал для своего проекта, от нефиг делать, модуль локализации времени относительно таймера пользователя. Но прекрасно понимаю, что себе головной боли этим доставил. Начнись разбор полетов, и все это полетит к чертям свинячим. На сервере одно время, у пользователя другое, у меня третье и т.д. Относительно одного пояса куда как проще. Но не удобно для пользователей конечно, если их пояс не соответствует серверному.
Одно хорошо - у меня хоть время (каким бы оно ни было) в нормальном виде часы, минуты, секунды, день, месяц, год.
Так что тема о времени это всегда флеймовская тема. Даже тут вот разница в часовых поясах наблюдается.
Хотя в конфе как раз таки можно было бы и убрать ее. Тут время собственно роли никакой не играет. Оно лишь для пользователей значение имеет. Технически идентификация сообщений идет по его порядковому номеру, следовательно можно со временем делать что угодно (отображать как угодно по крайней мере). Не будет конфликтов. Сервер в своем поясе исчисляет и обрабатывает, если ему надо с ним работать, я в своем отображаю. (если конечно время нормально в UNIX_TIMESTAMP пишется, а не хххх-хх-хх хх:хх:хх к примеру)
_________________ --- ELITE raiders GROUP ---
JG Ragaril |
|
|
Jon
670 EGP
     Рейтинг канала: 9(1038) Репутация: 163 Сообщения: 4279 Откуда: Запорожье, Украина Зарегистрирован: 22.07.2001
 |
|
2VBKesha:
зачОтный пост про героин, меня чуть не порвало от смеху.
Но я так подумав решил всё таки поставить +3, а не
2SandreS:
Ты не слишком увлёкся работой? Или мне это только кажеться?
p.s. В любом случае UUNN теперь в своих постах делает уточнение времени по UTC.
Совпадение? Не думаю!
(с) не я
_________________ Octavius Jon (временно Quantar)
Да ну их, этих Солов.
Последний раз редактировалось: Jon (00:14 02-12-2016), всего редактировалось 1 раз |
|
|
SandreS
259 EGP
  Рейтинг канала: 5(150) Репутация: 40 Сообщения: 595 Откуда: Планета Таргон. Зарегистрирован: 25.07.2001
 |
|
Hi многоуважаемый All!
Может и увлекся. Но по крайней мере это то, что я очень хорошо умею. :-)
А делов кстати о птичках всего-то на 10 строк. Это с учетом того, что к времени 00:00:00 ведущие нули надо дописывать этой же программой будет. JS их опустит по дефолту.
Да блин-клинтон... Вот:
msg_time[] массив содержащий UNIX_TIMESTAMP полученый из MY_SQL
Сообщений у нас ведь не одно, следовательно гнать потом массив циклом для каждого сообщения свое время. (а для моих задачь там еще и сами сообщения и тех.данные шли)
msg_time[0] = Number(msg_time[0]+'000'); //дописать 3 нуля в конец таки надо, и приведение типов сделать принудительно, иначе JS как строку его воспримет.
d = new Date(msg_time[0]);
h = d.getHours();
m = d.getMinutes();
s = d.getSeconds();
if(h < 10){
h = '0'+h;
}
if(m < 10){
m = '0'+m;
}
if(s < 10){
s = '0'+s;
}
Как-то так. Это то что сразу без обдумывания, как ведущие нули докидать красивее. Просто в лоб решение. Зато рабочее на 209% и 9 в периоде, как и все решения в лоб.
Хотя я смотрю тут начихали на ведущие нули: "Добавлено: Сегодня в 1:14". Но работу с датами таки проводят(на JS или PHP не знаю, не смотрел, но я бы на JS это сделал). Что бы не сделать до конца тогда?
Я тоже не думаю, что совпадение. Учитывая, что урл на ЕГ на JG есть, следовательно сдесь кто-то наведывается, и бредятину которую мы тут несем, читает. :-))) Думаю человек согласился с тем, что уточнения по времени таки очень нужны.
_________________ --- ELITE raiders GROUP ---
JG Ragaril |
|
|
VBKesha
818 EGP
      Рейтинг канала: 9(1064) Репутация: 132 Сообщения: 3330 Откуда: обл.Брянская г. Трубчевск Зарегистрирован: 07.12.2005
 |
|
Ой вот я хотел бы прокомментировать, про эти нули и на кой хрен они тут нужны(в плане обсуждения кода на форуме). И хотел бы сказать что на PHP есть функция форматирования дат которая позволяет сразу добавить эти нули. Но я уже два года не занимаюсь ни тем ни другим. Поэтому комментариев не будет. Просто покажу свой ужасный код, и спрошу как сократить такт после SOF которые передаёт служебку и SOF который передаёт поток.
Лучше вам этого не видеть (кликните здесь для просмотра)
Код: |
module mem2st (
input wire clock,
input wire reset,
input wire stout_ready,
output wire stout_valid,
output wire [23:0] stout_data,
output wire stout_sof,
output wire stout_eof,
inout wire [7:0] gpio
);
parameter WIDTH = 800;
parameter HEIGHT = 600;
reg [23:0]packet[4];
reg [7:0] packet_cnt;
reg valid;
reg [7:0] reg_gpio;
assign stout_valid = (valid & stout_ready);
assign gpio = reg_gpio;
reg [23:0] data;
reg eof;
reg sof;
reg [15:0] x;
reg [15:0] y;
reg [15:0] anim_x;
assign stout_data = data;
assign stout_sof = sof;
assign stout_eof = eof;
initial begin
packet[0][23:16] <= 8'h00;
packet[0][15:8] <= 8'h00;
packet[0][7:0] <= 8'h0f;
packet[1][23:16] <= 8'h02;
packet[1][15:8] <= 8'h03;
packet[1][7:0] <= 8'h00;
packet[2][23:16] <= 8'h02;
packet[2][15:8] <= 8'h00;
packet[2][7:0] <= 8'h00;
packet[3][23:16] <= 8'h02;
packet[3][15:8] <= 8'h08;
packet[3][7:0] <= 8'h05;
st_state <= 0;
valid <= 0;
sof <= 0;
eof <= 0;
end
reg [7:0] st_state;
always @(posedge clock, negedge reset) begin
if(!reset) begin
reg_gpio <= 8'h00;
end else if(stout_ready) begin
case(st_state)
8'h00: begin
packet_cnt <= 0;
st_state <= 1;
end
8'h01: begin
packet_cnt <= packet_cnt + 1;
valid <= 1;
if(packet_cnt < 4) begin
data <= packet[packet_cnt][23:0];
end
if(packet_cnt > 3) begin
st_state <= 2;
valid <= 0;
end
if(packet_cnt == 0) begin
sof <= 1;
end else begin
sof <= 0;
end
if(packet_cnt == 3) begin
eof <= 1;
end else begin
eof <= 0;
end
end
8'h02: begin
valid <= 1;
x <= 0;
y <= 0;
sof <= 1;
data <= 0;
st_state <= 3;
end
8'h03: begin
sof <= 0;
x <= x + 1'b1;
if(x == WIDTH - 1) begin
eof <= 1;
st_state <= 4;
valid <= 0;
end
if((x == anim_x)) begin
data <= 24'hFFFFFF;
end else begin
data <= 24'h000000;
end
end
8'h04: begin
eof <= 0;
y <= y + 1'b1;
x <= 0;
if(y == HEIGHT - 1) begin
eof <= 1;
st_state <= 0;
if(anim_x<800) begin
anim_x <= anim_x + 1;
end else begin
anim_x <= 0;
end
end else begin
st_state <= 3;
valid <= 1;
end
end
endcase
end
end
endmodule
|
|
За сим предлагаю закрыть эту тему
_________________ JumpGate. Quantar Optimus.
Jumpgate(TM) . . . welcome to your next life . . . There's nothing you can't do |
|
|
SandreS
259 EGP
  Рейтинг канала: 5(150) Репутация: 40 Сообщения: 595 Откуда: Планета Таргон. Зарегистрирован: 25.07.2001
 |
|
Hi многоуважаемый All!
Форматирование дат есть и в мускуле. Только форматирование относительно сервера который в Папуа-Новая-Гвинея. А клиент в Гваделупе будет сегодня, и на Аляске завтра. Почуйствуйте разницу как говорится.
_________________ --- ELITE raiders GROUP ---
JG Ragaril |
|
|
Jon
670 EGP
     Рейтинг канала: 9(1038) Репутация: 163 Сообщения: 4279 Откуда: Запорожье, Украина Зарегистрирован: 22.07.2001
 |
|
SandreS : |
Форматирование дат есть и в мускуле.
|
Кстати, раз уж пошла такая пьянка. У меня вопрос на полном серьёзе, без всякого стёба. А сам по себе мускул ещё актуален?
Мне просто уже года два админы рапортуют, что во всех новых проектах разрабы хотят альтернативу мускулу. К настоящему моменту в результате долгих совещаний и весёлых посиделок в пивбаре вроде как устаканились на Мария
Вопросы.
1. Мускул актуален для старта новых проэктов?
2. Мария, она добро или зло?
А так вообще, пойду ка я убью пару свармов
_________________ Octavius Jon (временно Quantar)
Да ну их, этих Солов.
Последний раз редактировалось: Jon (20:04 03-12-2016), всего редактировалось 1 раз |
|
|
SandreS
259 EGP
  Рейтинг канала: 5(150) Репутация: 40 Сообщения: 595 Откуда: Планета Таргон. Зарегистрирован: 25.07.2001
 |
|
Hi многоуважаемый All!
Jon : |
во всех новых проектах разрабы хотят альтернативу мускулу
|
Т.е. программисты хотят альтернативу? А почему тогда тебе об этом не они, а какие-то админы говорят? Это не им с ней работать, ну не только и не столько им.
А уж если программисты хотят альтернативу - значит они знают о ней. А если не знают, но хотят... Это смешно. Я бы от таких кодеров завтра же избавился бы.
Еще долго будет актуален, если у тебя есть из чего его компилить. Короче если есть возможность установить его самому - тогда актуален. И плевать что там кто будет говорить.
Если нет возможности, тогда упс. Я поглядываю в сторону постгри, но уж очень мне не нравится оно. Отход от стандарта SQL и формирование своего стандарта - ну его в жопу. Они конечно орут, мол это новый стандарт и мы его поддерживаем. А старый кто будет поддерживать? Почему я должен переписывать элементарный запрос SELECT * FROM `table` WHERE `id`='1' ORDER BY 1 DESC LIMIT 0,10 на новый формат? В общем плюсы есть всюду и минусы так же.
От мускуля пытаются отказаться лишь потому, что разработчики занялись чем-то другим, а БД послали лесом. Вот и все. Но никто не мешает использовать то что есть. Новых версий БД не будет конечно, а значит и новых ошибок не будет.
И мускуль актуален и cp-1251 актуален. А кто говорит, что это не так - дилетанты. Пока оно тебя устраивает, и справляется со своими задачами - все это будет актуально.
У меня не одину сотню раз уже были разговоры, что cp-1251 не актуально, и если не UTF-8, то это дилетантизм.
Первый вопрос ставящий в тупик: А почему utf-8, а не utf-16?
Ответ не знают.
А в дальнейшем начинаются длительные решения, например вопросов с регулярками. Не работают они или не так как надо работают.
Пишешь регулярку, проверяешь, все замечательно работает. Но... в cp-1251, в utf (из-за его новизны и непомерной крутости) ничерта не работает или через жопу.
Второй вопрос задаешь: Так раз все так криво работает, нахера это использовать? У меня в 1251 нет такого, все чисто. Но это же зло когда все работает с пол пинка.
На этот вопрос ответили только один раз. Мол да, в utf криво все, но почему-то стали "все" использовать, и мы туда же. Т.е. стадный инстинкт.
Самый нормальный ответ был примерно таким(но не мне): Используй что хочешь, и наплюй на всех остальных. Когда возникнет необходимость на другое перейти, тогда и будешь этим заниматься, а пока нет ее - наплюй на всех этих дилетантов.
p.s. Дело в том, что попроси аргументировать тех кто говорит мол это зло, и надо другое юзать. Сперва аргументы попроси, а потом факты предоставить. Т.е. доказать, что аргументы приведенные есть факт, а не вымысел. Удивишься как быстро эти "умники" отвалятся. Аргументы начитанные "умники" еще могут попытаться привести, а вот факты - хрена лысого. Ибо они все это вычитали на каких-то сайтах "дяди Вани", причем Ваня говорил об одном, а они это не поняли, и все с ног на голову перевернули. И не проверили на практике, а поверили на слово. В результате слышишь такие аргументы: "Мол, в utf больше языков поддерживается.". Бред сивой кобылы. Ты китайские иероглифы все одно не будешь поддерживать, а с остальным и 1251 прекрасно справится, даже с буквами с умляутами, и те покажет.
К чему я про кодировки так взъелся, просто чаще всего приходилось слышать о них. Это так, как пример из жизни. С другими вопросами все абсолютно так же. Если получил какую инфу - проверь ее, не верь на слово. Убедился, что это так или не так - вот, ты уже знаешь ответ, и теперь сказать тебе, что это не так никто не сможет. Сказать смогут, но ты пошлешь его/ее лесом, а то и моську набьешь, чтоб не врали. :-)
p.s.s. Это чтоб по теме хоть немного, а то совсем в оффтоп ушли уже. Модетрахтера на нас нет. :-)))
Сунулся тут в Канис, ну там аномалия и флюкс из нее валится напостой. И не вижу ни одного. Выпрыгнул, ввалил пару в AH, прыгаю обратно, опять 0 флюксов. С третьего раза токи появились. Раньше как-то чаще они появлялись там. Даже не припомню чтоб их когда-то не было там где есть аномалия, а если и было такое, то очень редко. А тут подряд несколько раз. Что-то с активностью поменяли, флюксы теперь стали фригидными, и не только глазки не строят, еще и не домагиваются наигрязнейше? :-)
_________________ --- ELITE raiders GROUP ---
JG Ragaril
Последний раз редактировалось: SandreS (08:06 04-12-2016), всего редактировалось 2 раз(а) |
|
|
Jon
670 EGP
     Рейтинг канала: 9(1038) Репутация: 163 Сообщения: 4279 Откуда: Запорожье, Украина Зарегистрирован: 22.07.2001
 |
|
SandreS : |
Т.е. программисты хотят альтернативу? А почему тогда тебе об этом не они, а какие-то админы говорят?
|
2SandreS:
Потому, что в моём случае "какими-то" являются именно программисты, а не админы.
SandreS : |
Это не им с ней работать, ну не только и не столько им.
|
Ой ли.
Вернее да, ты совершенно прав. Именно так обстоят дела в мелких канторках аля аллсофтпис.ру.
Пяток разрабов, два три админа (половина этих сотрудников естественно является гениальными мальчиками и в обязательном порядке приходится родственниками какогото младшего налогового инспектора, или второго зама директора службы по защите прав потребителей хацупетовского района) В таких фирмах процесс разработки ведётся как правило ради самого процесса. И там да, программисты диктуют свои хотелки админам.
Но вот чем крупнее предприятие тем больше нарушается эта идилия. И в определённый момент положение вещей меняется до с точностью до наоборот.
Сисадминов много. Оборудования очень много. Разрабов пруд пруди.
Программисты несут ответственность за то, что пишут, ровно до того момента пока их работу не приняли. Да и зачастую они сами не знают, что именно пишут, ну или имеют весьма смутное представление о том, где оно будет в последствии применяться. То есть это вообще могут быть какието модули, а в ТЗ указываться что есть на входе и что должно быть на выходе, ну и сколько ресурсов должна жрать эта обработка.
В общем после того как работу сдали, то с разрабов как с гусей вода. А крутить это всё будут именно админы, причём годами. Или может это будет использовано другими разрабами через какоето время. А к тому времени из тех кто модуль написал кто то помрёт, кто то свалит на свою историческую родину, а кто то просто сопьётся или сойдёт с ума.
Я это к чему говорю. В таком предприятии уже никуда без продуманой логистики, стандартизации, серьёзной техдокументации и всей прочей "бюрократии" которую так нелюбят настоящие крутые программеры.
Оно то и понятно. В таком предприятии никому даже в голову не прийдёт разрешить красить фундамент в фиолетовый цвет, если по стандарту он должен быть некрашеным. А уж о том, что бы вставлять треугольные окна вместо прямоугольных и речи быть не может, даже не смотря на то, что с точки зрения младшего помошника архитектора это очень круто и щас вообще все так делают...
Образно говоря, такое предприятие это огромный паровоз, который ремонтируют и модеренизируют на ходу. Любые процессы по апгрейду или замене чего либо занимают много времени. Они требуют многоуровневого анализа, согласования плана действий и тд.
Да согласен, такое положение вещей не радует. Но к сожалению по другому, эти киты сдувались бы при первом же шторме.
SandreS : |
А уж если программисты хотят альтернативу - значит они знают о ней. А если не знают, но хотят... Это смешно. Я бы от таких кодеров завтра же избавился бы.
|
Хм, ну вероятно же знают. Причём вариантов там как правило масса. Кто то гдето прочитал, что это крута. У когото есть что то уже готовое (ну или близкое к заказу), но оно сцука работает только под четвёртым мускулом. У третих на генетическом уровне развито отвращение ко всему не обновлённому. С их точки зрения пятый мускул ацтой, потому, что старьё. даже ничего пЕсать под такое не хочется. Есть ещё четвёртые, кторые пацаны реальные и не живут на ветке стейбл (им бы какубнибуть свежайшую утечку прикрутить, релиз бетаверсии которой запланирован только через два месяца). В общем и тд и тп и ещё все эти особи далятся на классы и подвиды
А вот с вменяемими аргументами действительно беда. Вменяемые мне доводится слышать действительно один раз на сто случаев. А так обычно всё сводится либо к доказыванию с пеной изо рта что мол вы не понимаете, щас все так делают! Или же деланием надменного вида, мол чё тут говорить, вы всё равно не поймёте. И вообще вы все пи, один я Дартаньян.
p.s. В любом случае спасибо за мнение. Оно для меня является важным и принято к сведенью.
pps Вот на счёт Каниса я чё то не понял. Специально проверил, спавн как спавн, ничего не поменялось. Ты случаем не на каком то новом аккаунте туда прилетал?
Спавн зависит от лвл. Чем ближе лвл к 50 тем сильнее спавн.
Ещё спавн зависит от типа регистрации. На МТ он самый низкий, а на цивов самый высокий.
_________________ Octavius Jon (временно Quantar)
Да ну их, этих Солов. |
|
|
SandreS
259 EGP
  Рейтинг канала: 5(150) Репутация: 40 Сообщения: 595 Откуда: Планета Таргон. Зарегистрирован: 25.07.2001
 |
|
Hi многоуважаемый All!
Jon : |
являются именно программисты, а не админы.
|
Да я вас умоляю!.. Сам-то понял что сказал? Перечитай еще раз, не поймешь, еще раз, пока осознание не придет. :-) Программист и телепат это разные вещи. Одно не подразумевает другое - во-первых.
А должности "программист" и "админ" должны вообще взаимоисключаемыми быть. Если хочешь чтоб и те и другие были хорошими. Тот кто будет совмещать, да, возможно такое. НО... И как админ посредственный будет, и как кодер. В лучшем случае посредственный, а в большинстве, вообще нуль минус единица. Времени не хватит и то и другое изучить, даже если 86400 секунд в сутки будет только этим заниматься. Это во-вторых.
Jon : |
Но вот чем крупнее предприятие тем больше нарушается эта идилия.
|
Вот с этого и надо было начинать. Тут да, есть такая беда, что хрена ты лысого что изменишь. Если сказано трава должна быть зеленой - будешь красить ее кисточкой, и подругому не моги.
Конечно у всех свои тараканы в голове. И исходить надо учитывая их наличие и количество разумеется.
Беда самая большая в том, что когда эти бюрократы не понимают и не желают понимать, что надо уже менять устои. А если бюрократия бюрократией, а люди толковые и они сознают, что травку на хрен красить не надо, тогда на эту бюрократию ложится большой и толстый болт. :-)))
Jon : |
Ещё спавн зависит от типа регистрации. На МТ он самый низкий, а на цивов самый высокий.
|
О как! Так значит это от мерка на меня мало сыпится, а то и вообще не сыпится. Санкс, не вкурсе был. Только про лвл слышал.
p.s. Какой же я все таки сосика-редиска, не хороший человек. 500 нюков с Амана растащил. Теперь инфесты не чем разбирать будет. Наказывать меня не кому. А флюксам не когда. :-)
А куда все делись кстати о птичках. Только не говорите, что к праздникам готовитесь.
p.s.s. Что за эпопея с исчезновением комбат миссий второй категории? Наблюдается постоянно, а причины так и не уразумел. Я о том, что пориодически исчезает вторая категория, с первой и третьей не замечал такого, а вторая то есть, то нет. Даже когда только по третьей уже летаешь, вторая все одно исчезает.
_________________ --- ELITE raiders GROUP ---
JG Ragaril
Последний раз редактировалось: SandreS (16:37 12-12-2016), всего редактировалось 2 раз(а) |
|
|
Jon
670 EGP
     Рейтинг канала: 9(1038) Репутация: 163 Сообщения: 4279 Откуда: Запорожье, Украина Зарегистрирован: 22.07.2001
 |
|
SandreS : |
А куда все делись кстати о птичках. Только не говорите, что к праздникам готовитесь.
p.s.s. Что за эпопея с исчезновением комбат миссий второй категории? Наблюдается постоянно, а причины так и не уразумел. Я о том, что пориодически исчезает вторая категория, с первой и третьей не замечал такого, а вторая то есть, то нет. Даже когда только по третьей уже летаешь, вторая все одно исчезает.
|
Лично я к праздникам всегда готов
Ну или скорее если посмотреть действительности в нижнюю часть спины, мне просто хочется так думать. А так, работаю весь
По поводу миссий.
Пропадать может любая миссия. На счёт зависимости затрудняюсь. Если с миссиями на добычу ресурсов это хоть как то можно подогнать под потребности станции в определённом типе ресурсов (скорее в избытке каких то определённых). То вот с комбат, имхо пропадают те миссии, которые чаще всего берут и которые лично тебе больше всего нужны. В общем это западло такое.
Но. Есть "лекарство".
Если нужная тебе миссия пропала вылети со станции и сразу же на неё вернись. С очень высокой степень вероятности, нужная тебе миссия появится.
Если же тебе лень вылетать в космос, то просто зайди в сим "open space", и тут же из него выйди (не вылетая в космос)
В этом случае вероятность того, что миссия вернётся несколько ниже, но тоже очень высока.
Кроме того, данное телодвижение ничего не мешает произвести несколько раз
_________________ Octavius Jon (временно Quantar)
Да ну их, этих Солов. |
|
|
SandreS
259 EGP
  Рейтинг канала: 5(150) Репутация: 40 Сообщения: 595 Откуда: Планета Таргон. Зарегистрирован: 25.07.2001
 |
|
Hi многоуважаемый All!
Я тоже всегда готов водка пить, земля валяться. Только не с кем. :-/
Блин-клинтон... Хорошее западло. Чтож третьи-то не пропадают? Их чаще юзаешь, да и другие думаю тоже не больно-то на с5 охотятся, особенно high lvl.
_________________ --- ELITE raiders GROUP ---
JG Ragaril |
|
|
Jon
670 EGP
     Рейтинг канала: 9(1038) Репутация: 163 Сообщения: 4279 Откуда: Запорожье, Украина Зарегистрирован: 22.07.2001
 |
|
SandreS : |
Блин-клинтон... Хорошее западло. Чтож третьи-то не пропадают? Их чаще юзаешь, да и другие думаю тоже не больно-то на с5 охотятся, особенно high lvl.
|
2SandreS:
Любые миссии могут пропадать. Алгоритм мне не известен. Известно только лекарство.
_RAZAAR_ : |
А ты уверен что тебе точно туда хочется?
В том смысле что если просто на юг южной америки именно туда где пингвины
- то наверно да Мыс доброй надежды это то место.
Но вот самый юг южной америки это мыс Фроуард который отмечен
Cruz de los Mares в честь визита папы римского и был назван так какимто англизким пиратом непомню зачем.
Считаю что если есть начто купить трейлер и отправиться туда где пингвины
то можно просто найти добрую Надежду если таковой нет рядом и отправиться с ней куда она захочет.
|
2RAZAAR:
Даже не знаю, как я мог не заметить твой пост. мне стыдно.
Но лучше поздно, чем никогда.
Тут вот какая фигня складывается. С географией я почему то дружил даже в детстве, но тогда мир казался огромным.
Жизнь преподнесла ряд уроков, в том числе наглядно мне показала насколько наша планетка на самом деле маленькая
Свою "добрую Надежду" я уже нашел значительно боле 20 лет назад.
А вот сами по себе пингвины мне пофик.
Я просто хочу куда то поехать не по работе.
_________________ Octavius Jon (временно Quantar)
Да ну их, этих Солов. |
|
|
VBKesha
818 EGP
      Рейтинг канала: 9(1064) Репутация: 132 Сообщения: 3330 Откуда: обл.Брянская г. Трубчевск Зарегистрирован: 07.12.2005
 |
|
Jon : |
Я просто хочу куда то поехать не по работе.
|
Поехали в Шерегеш...
_________________ JumpGate. Quantar Optimus.
Jumpgate(TM) . . . welcome to your next life . . . There's nothing you can't do |
|
|
_RAZAAR_
62 EGP
  Рейтинг канала: 6(388) Репутация: -13 Сообщения: 2854 Заблокирован Откуда: РАЗААРЪ - 40Лы от Лаве Зарегистрирован: 15.04.2008
 |
|
VBKesha : |
Jon : |
Я просто хочу куда то поехать не по работе.
|
Поехали в Шерегеш...
|
Добропожаловать к нам! тоже на Ш Шахдаг
Мороз может и не такой как в Сибири но снег в этом году уже с конца ноября есть
_________________ Quaere Vērum
------------------------
Последний раз редактировалось: _RAZAAR_ (16:06 14-12-2016), всего редактировалось 1 раз |
|
|
SandreS
259 EGP
  Рейтинг канала: 5(150) Репутация: 40 Сообщения: 595 Откуда: Планета Таргон. Зарегистрирован: 25.07.2001
 |
|
Hi многоуважаемый All!
Ну все, маленькую бутылку на 50000 милилитров истинно пиратского пойла (ромом зовущегося), мне приволокли. Можно начинать отмечать уже с сегодня. :-)
Наклею на нее этикетку Octavia Light и будет истинно Октовско-пиратское пойло.
Пеееей! И дьявол тебя доведет до конца. Йо-хо-хо! И бутылка рома! :-)))
_________________ --- ELITE raiders GROUP ---
JG Ragaril |
|
|
VBKesha
818 EGP
      Рейтинг канала: 9(1064) Репутация: 132 Сообщения: 3330 Откуда: обл.Брянская г. Трубчевск Зарегистрирован: 07.12.2005
 |
|
_RAZAAR_ : |
Добропожаловать к нам! тоже на Ш Шахдаг
Мороз может и не такой как в Сибири но снег в этом году уже с конца ноября есть
|
Звучит заманчиво, в но этом году точно в Шерегеш.
_________________ JumpGate. Quantar Optimus.
Jumpgate(TM) . . . welcome to your next life . . . There's nothing you can't do |
|
|
SandreS
259 EGP
  Рейтинг канала: 5(150) Репутация: 40 Сообщения: 595 Откуда: Планета Таргон. Зарегистрирован: 25.07.2001
 |
|
Hi многоуважаемый All!
Я в позапрошлом годе на Новой земле отмечал НГ. Знакомые бандюки с собой позвали, мол тут мы все уже видели, не интересно, полетели туда. Весело было. Правда говорят что будь они там лет 10 назад, передрались бы меж собой. Больше-то не с кем, не с бакланами же драться. :-)))
p.s. Народ, вопрос о попадучести опять, у вас как с этим щаз? А то что-то последние два-три дня просто в шоке, упала резко. Хотя буквально недавно все нормально было. А тут в лоб бьешь и мимо. И не улучшается, хоть только этим и занимаюсь напостой.
_________________ --- ELITE raiders GROUP ---
JG Ragaril |
|
|
Jon
670 EGP
     Рейтинг канала: 9(1038) Репутация: 163 Сообщения: 4279 Откуда: Запорожье, Украина Зарегистрирован: 22.07.2001
 |
|
SandreS : |
p.s. Народ, вопрос о попадучести опять, у вас как с этим щаз? А то что-то последние два-три дня просто в шоке, упала резко. Хотя буквально недавно все нормально было. А тут в лоб бьешь и мимо. И не улучшается, хоть только этим и занимаюсь напостой.
|
2SandreS:
Проверил.
С полной ответственностью заявляю. У меня с попадучестью всё как всегда.
Меньше стреляй из под ноги, и наладится твоя попадучесть
Хотя, а какая разница?
_________________ Octavius Jon (временно Quantar)
Да ну их, этих Солов. |
|
|
|
|
|
Онлайновые игры ->
Канал Jumpgate: «JG в 2016 (и все-таки он вертится)» |
|
К списку каналов | Наверх страницы |
Цитата не в тему: Короче, тыкай его мышкой до тех пор, пока не заговорит. (посоветовал R_Alexis)
|
» JG в 2016 (и все-таки он вертится) | страница 11 |
|